$dumpall
チェックポイント

[書式]
$dumpall ;

[説明]
タスクが呼び出された時点で対象となっている全ての変数の値をVCDファイルに出力する。
[例]

$dumpfile
ダンプファイルの名前を指定する

[書式]
$dumpfile ("ファイル名");

[説明]
VCDファイルの名前を指定する。
ファイル名省略時は、カレントにdump.vcdが作成される。
[例]

$dumpflush
ダンプファイルのバッファをフラッシュする

[書式]
$dumpflush ;

[説明]
バッファの内容をVCDファイルに吐き出しバッファをクリアする。
バッファとは、ディスクに効率よく書き込むために利用される一時的なメモリのこと。
[例]

$dumplimit
ダンプファイルのサイズを制限する

[書式]
$dumplimit (ファイルサイズ);

[説明]
VCDファイルの最大サイズをバイト数で指定する。
最大サイズに到達したことを示すコメントが付加されダンプが終了する。
[例]

$dumpoff
ダンプ出力オフ

[書式]
$dumpoff ;

[説明]
タスクが呼び出された時点でダンプ出力をオフする。
また、対象となっている全ての変数をX(不定)としてVCDファイルに出力する。
[例]

$dumpon
ダンプ出力オン

[書式]
$dumpon ;

[説明]
タスクが呼び出された時点でダンプ出力をオンする。
また、対象となっている全ての変数について、その時点での値をVCDファイルに出力する。
[例]

$dumpvars
ダンプを取る対象を指定する

[書式]
$dumpvars ;
$dumpvars (階層レベル, 対象1, 対象2, ... );

[説明]
VCDファイルに出力するダンプを取る対象を指定する。
階層レベルは、対象を最上位階層と仮定し、次のようになる。
階層レベル省略時は1。
0: 全階層の全変数 (ポート、ネット、変数など)
1: 最上位階層の全変数
2: 最上位〜第2位階層までの全変数
n: 最上位〜第n位階層までの全変数
また、対象省略時は、タスクを呼び出したモジュールが選択される。
[例]

$dumpports
指定したモジュールのポートをダンプに取る(Extended VCD)

[書式]
$dumpports (対象1, 対象2, ... , "ファイル名");

[説明]
指定したモジュールのポートをVCDファイルに出力する。
(下位モジュールのポートは含まない)
対象省略時は、タスクを呼び出したモジュールが選択される。
ファイル名省略時は、カレントにdumpports.vcdが作成される。
[例]

$dumpportsall
チェックポイント(Extended VCD)

[書式]
$dumpportsall ("ファイル名");

[説明]
タスクが呼び出された時点で対象となっている全ての変数の値をVCDファイルに出力する。
ファイル名には、$dumpportsで開いたVCDファイルのみが指定できる。
ファイル名省略時は、$dumpportsで開いている全てのVCDファイルが対象となる。
[例]

$dumpportsflush
ダンプファイルのバッファをフラッシュする(Extended VCD)

[書式]
$dumpportsflush ("ファイル名");

[説明]
バッファの内容をVCDファイルに吐き出しバッファをクリアする。
バッファとは、ディスクに効率よく書き込むために利用される一時的なメモリのこと。
ファイル名には、$dumpportsで開いたVCDファイルのみが指定できる。
ファイル名省略時は、$dumpportsで開いている全てのVCDファイルが対象となる。
[例]

$dumpportslimit
ダンプファイルのサイズを制限する(Extended VCD)

[書式]
$dumpportslimit (ファイルサイズ, "ファイル名");

[説明]
VCDファイルの最大サイズをバイト数で指定する。
最大サイズに到達したことを示すコメントが付加されダンプが終了する。
ファイル名には、$dumpportsで開いたVCDファイルのみが指定できる。
ファイル名省略時は、$dumpportsで開いている全てのVCDファイルが対象となる。
[例]

$dumpportsoff
ダンプ出力オフ(Extended VCD)

[書式]
$dumpportsoff ("ファイル名");

[説明]
タスクが呼び出された時点でダンプ出力をオフする。
また、対象となっている全ての変数をX(不定)としてVCDファイルに出力する。
ファイル名には、$dumpportsで開いたVCDファイルのみが指定できる。
ファイル名省略時は、$dumpportsで開いている全てのVCDファイルが対象となる。
[例]

$dumpportson
ダンプ出力オン(Extended VCD)

[書式]
$dumpportson ("ファイル名");

[説明]
タスクが呼び出された時点でダンプ出力をオンする。
また、対象となっている全ての変数について、その時点での値をVCDファイルに出力する。
ファイル名には、$dumpportsで開いたVCDファイルのみが指定できる。
ファイル名省略時は、$dumpportsで開いている全てのVCDファイルが対象となる。
[例]