システムタスク、システム関数

システムタスク、システム関数です。


システムタスク、システム関数の種類

Verilog HDLには、以下のシステムタスク、システム関数が規定されています。

各カテゴリ毎にページを分け、アルファベット順に説明しています。
また、以下のリストは、各項目へのリンクになっています。

お好みの方法でご覧ください。・・・ポチッと

タイムスケールタスク
$printtimescale $timeformat

シミュレーション制御タスク
$finish $stop

確率的解析タスク(待ち行列)
$q_add
$q_exam
$q_full
$q_initialize
$q_remove

シミュレーション時間関数
$realtime
$stime
$time

コマンドライン入力関数
$test$plusargs $value$plusargs